summaryrefslogtreecommitdiff
path: root/extensions/SyntaxHighlight_GeSHi/geshi/geshi/systemverilog.php
diff options
context:
space:
mode:
Diffstat (limited to 'extensions/SyntaxHighlight_GeSHi/geshi/geshi/systemverilog.php')
-rw-r--r--extensions/SyntaxHighlight_GeSHi/geshi/geshi/systemverilog.php10
1 files changed, 4 insertions, 6 deletions
diff --git a/extensions/SyntaxHighlight_GeSHi/geshi/geshi/systemverilog.php b/extensions/SyntaxHighlight_GeSHi/geshi/geshi/systemverilog.php
index f2ba92b1..125b8c16 100644
--- a/extensions/SyntaxHighlight_GeSHi/geshi/geshi/systemverilog.php
+++ b/extensions/SyntaxHighlight_GeSHi/geshi/geshi/systemverilog.php
@@ -4,7 +4,7 @@
* -------
* Author: Sean O'Boyle
* Copyright: (C) 2008 IntelligentDV
- * Release Version: 1.0.8.11
+ * Release Version: 1.0.8.12
* Date Started: 2008/06/25
*
* SystemVerilog IEEE 1800-2009(draft8) language file for GeSHi.
@@ -52,9 +52,9 @@
* Project: SyntaxFiles
*
* File: systemverilog.php
- * $LastChangedBy: benbe $
- * $LastChangedDate: 2012-08-18 01:56:20 +0200 (Sa, 18. Aug 2012) $
- * $LastChangedRevision: 2542 $
+ * $LastChangedBy$
+ * $LastChangedDate$
+ * $LastChangedRevision$
*
************************************************************************/
@@ -313,5 +313,3 @@ $language_data = array (
)
)
);
-
-?> \ No newline at end of file