summaryrefslogtreecommitdiff
path: root/extensions/SyntaxHighlight_GeSHi/geshi/geshi/verilog.php
diff options
context:
space:
mode:
Diffstat (limited to 'extensions/SyntaxHighlight_GeSHi/geshi/geshi/verilog.php')
-rw-r--r--extensions/SyntaxHighlight_GeSHi/geshi/geshi/verilog.php62
1 files changed, 41 insertions, 21 deletions
diff --git a/extensions/SyntaxHighlight_GeSHi/geshi/geshi/verilog.php b/extensions/SyntaxHighlight_GeSHi/geshi/geshi/verilog.php
index 2bf66d1c..77e5927d 100644
--- a/extensions/SyntaxHighlight_GeSHi/geshi/geshi/verilog.php
+++ b/extensions/SyntaxHighlight_GeSHi/geshi/geshi/verilog.php
@@ -2,9 +2,9 @@
/**
* verilog.php
* -----------
- * Author: G�nter Dannoritzer <dannoritzer@web.de>
- * Copyright: (C) 2008 Guenter Dannoritzer
- * Release Version: 1.0.8.11
+ * Author: Günter Dannoritzer <dannoritzer@web.de>
+ * Copyright: (C) 2008 Günter Dannoritzer
+ * Release Version: 1.0.8.12
* Date Started: 2008/05/28
*
* Verilog language file for GeSHi.
@@ -19,6 +19,9 @@
* TODO (updated 2008/05/29)
* -------------------------
*
+ * 2013/01/08
+ * - extended keywords to include system keywords
+ *
*************************************************************************************
*
* This file is part of GeSHi.
@@ -49,22 +52,41 @@ $language_data = array (
'ESCAPE_CHAR' => '\\',
'KEYWORDS' => array(
// keywords
- 1 => array('always', 'and', 'assign', 'begin', 'buf', 'bufif0', 'bufif1', 'case',
- 'casex', 'casez', 'cmos', 'deassign', 'default', 'defparam',
- 'disable', 'edge', 'else', 'end', 'endcase', 'endfunction',
- 'endmodule', 'endprimitive', 'endspecify', 'endtable', 'endtask',
- 'event', 'fork', 'for', 'force', 'forever', 'function', 'highz0',
- 'highz1', 'if', 'ifnone', 'initial', 'inout', 'input', 'integer',
- 'join', 'large', 'macromodule', 'medium', 'module', 'nand',
- 'negedge', 'nmos', 'nor', 'not', 'notif0', 'notif1', 'or',
- 'output', 'parameter', 'pmos', 'posedge', 'primitive', 'pull0',
- 'pull1', 'pulldown', 'pullup', 'rcmos', 'real', 'realtime', 'reg',
- 'release', 'repeat', 'rnmos', 'rpmos', 'rtran', 'rtranif0',
- 'rtranif1', 'scalared', 'small', 'specify', 'specparam',
- 'strong0', 'strong1', 'supply0', 'supply1', 'table', 'task',
- 'time', 'tran', 'tranif0', 'tranif1', 'tri', 'tri0', 'tri1',
- 'triand', 'trior', 'trireg', 'vectored', 'wait', 'wand', 'weak0',
- 'weak1', 'while', 'wire', 'wor', 'xnor', 'xor'
+ 1 => array(
+ 'accept_on','alias',
+ 'always','always_comb','always_ff','always_latch','and','assert',
+ 'assign','assume','automatic','before','begin','bind','bins','binsof',
+ 'bit','break','buf','bufif0','bufif1','byte','case','casex','casez',
+ 'cell','chandle','checker','class','clocking','cmos','config','const',
+ 'constraint','context','continue','cover','covergroup','coverpoint','cross',
+ 'deassign','default','defparam','design','disable','dist','do','edge','else',
+ 'end','endcase','endchecker','endclass','endclocking','endconfig',
+ 'endfunction','endgenerate','endgroup','endinterface','endmodule',
+ 'endpackage','endprimitive','endprogram','endproperty','endspecify',
+ 'endsequence','endtable','endtask','enum','event','eventually','expect',
+ 'export','extends','extern','final','first_match','for','force','foreach',
+ 'forever','fork','forkjoin','function','generate','genvar','global',
+ 'highz0','highz1','if','iff','ifnone','ignore_bins','illegal_bins',
+ 'implies','import','incdir','include','initial','inout','input','inside',
+ 'instance','int','integer','interface','intersect','join','join_any',
+ 'join_none','large','let','liblist','library','local','localparam',
+ 'logic','longint','macromodule','matches','medium','modport','module','nand',
+ 'negedge','new','nexttime','nmos','nor','noshowcancelled','not','notif0',
+ 'notif1','null','or','output','package','packed','parameter','pmos','posedge',
+ 'primitive','priority','program','property','protected','pull0','pull1',
+ 'pulldown','pullup','pulsestyle_ondetect','pulsestyle_onevent','pure',
+ 'rand','randc','randcase','randsequence','rcmos','real','realtime','ref',
+ 'reg','reject_on','release','repeat','restrict','return','rnmos','rpmos',
+ 'rtran','rtranif0','rtranif1','s_always','s_eventually','s_nexttime',
+ 's_until','s_until_with','scalared','sequence','shortint','shortreal',
+ 'showcancelled','signed','small','solve','specify','specparam','static',
+ 'string','strong','strong0','strong1','struct','super','supply0','supply1',
+ 'sync_accept_on','sync_reject_on','table','tagged','task','this','throughout',
+ 'time','timeprecision','timeunit','tran','tranif0','tranif1','tri','tri0',
+ 'tri1','triand','trior','trireg','type','typedef','union','unique','unique0',
+ 'unsigned','until','until_with','untyped','use','uwire','var','vectored',
+ 'virtual','void','wait','wait_order','wand','weak','weak0','weak1','while',
+ 'wildcard','wire','with','within','wor','xnor','xor'
),
// system tasks
2 => array(
@@ -169,5 +191,3 @@ $language_data = array (
),
'TAB_WIDTH' => 4
);
-
-?>